2024CTIS-文章详情页顶部

ASML Confirms Dutch Partially Revokes China Export Licenses as US Reportedly Presses

The Dutch government's revocation of license for the shipment of NXT:2050i and NXT: 2100i affects a small number of customers in China, ASML said. Mainland China is expected to account for about 20% of ASML's total sales in 2023, the president of ASML China said in November.

BEIJING, January 3 (TMTPost)— ASML Holding NV, the world’s leading lithography machine manufacturer,confirmed halt of some of its machines to China forced by the local government.

Credit:TMTPost

Credit:TMTPost

A license for the shipment of NXT:2050i and NXT: 2100i has been revoked by the Dutch government, affecting a small number of customers in China, according to a statement that ASML presented to TMTPost App.

“We do not expect the current revocation of our export license or the latest U.S. export control restrictions to have a material impact on our financial outlook for 2023,” ASML said in the statement. ”In recent discussions with ASML, the U.S. government has made further clarification of the scope and impact of its export control regulations. The latest U.S. export rules, which was published on October 17, 2023, impose restrictions on certain mid critical deep ultraviolet (DUV) immersion lithography systems for a limited number of advanced production facilities.”

The statement stressed ASML will stay committed to comply with all applicable laws and regulations in the countries and regions where it operates, including export control legislation.

The revocation came as the United States was reported to ask ASML to hold shipments of lithography machines to China in advance of a ban that will be implemented later this month. China opposes the U.S.’s overstretching the national security concept and using all sorts of pretexts to coerce other countries into joining its technological blockade against China, Chinese Foreign Ministry spokesperson Wang Wenbin commented on the report. Beijing urges the Dutch side to uphold an objective and fair position and market principles, respect the spirit of contract, take concrete actions to protect the shared interests of China and the Netherlands and the companies of the two countries, and safeguard the stability of the international industrial and supply chains and the free, open, fair and non-discriminatory international trade environment, Wang said. He vowed that China will resolutely safeguard its legitimate rights and interests.

Founded in 1984, Netherlands-based ASML provides comprehensive lithography solutions encompassing hardware, software, and services, assisting chip manufacturers in large-scale image etching on silicon wafers. Meanwhile, ASML is currently the only manufacturer of Extreme Ultraviolet (EUV) lithography systems in the world. As a key for the future lithography technology and advanced process, EUV lithography is the most expensive step in making the advanced microchips that power data centers, cars and iPhones.

ASML has to apply for export licenses prior to shipments of DUV machines under new export restrictions introduced by the Dutch government in March 2023. New export control, which came into force in September, mainly impact purchases of high-end DUV lithography systems for advanced chip manufacturing process, excluding versions older than NXT: 2100i, per ASML’s clarification.

NXT:2000i is one of ASML’s most advanced immersion DUV lithography systems. The system is equipped with an in-line catadioptric lens design, with a numerical aperture (NA) of 1.35, the highest in the industry. It has shown the fastest ramp-to-maturity ever, getting to a reliability of 150 hours in just 2 months, and boasts champion productivity of 4,600 wafers per day, an achievement made possible by providing optional applications that allow the system to optimize scanner wafer processing time and reduce lot overhead for specific use cases, according ASML website.

The NXT:2050i and NXT:2100i systems affected by the licence revocation cost tens of million euros each. ASML’s global net sales reached 20.321 billion euros for the first three quarters ending on September 30, with the revenue from China accounting for approximately 5.267 billion euros, or around 25.91%, according to the latest financial report released in October. ASML report forecasts that the net sales for the fourth quarter of 2023 will be between 6.7 to 7.1 billion euros, with a gross margin of 50% to 51%. 

ASML has installed nearly 1,400 lithography and metrology machines in China by the end of 2023, Shen Bo, senior vice-president of ASML and president of ASML China, told TMTPost in an in-depth talk in November. He added that ASML has been delivering machines to China since the first delivery in 1988 and “our growth in China over these years has actually been very considerable.”

ASML CEO Peter Wennink had said around 15 % of the company’s sales came from mainland China In the past couple of years.  The Chinese mainland is expected to account for about 20% of ASML's total sales in 2023, Shen Bo said in November as his company is participating in 2023 China International Import Expo. ASML anticipated demand for chips using the whole established manufacturing process is still very strong, so “we are also very optimistic about our business in China next year”, Shen told China Daily that month.

转载请注明出处、作者和本文链接
声明:文章内容仅供参考、交流、学习、不构成投资建议。
想和千万钛媒体用户分享你的新奇观点和发现,点击这里投稿 。创业或融资寻求报道,点击这里

敬原创,有钛度,得赞赏

赞赏支持
发表评论
0 / 300

根据《网络安全法》实名制要求,请绑定手机号后发表评论

登录后输入评论内容

扫描下载App